Weiqiang Liu

Professor   Supervisor of Doctorate Candidates  

Gender:Male

Alma Mater:Queen's University Belfast

Education Level:英国贝尔法斯特女王大学

Degree:Doctoral Degree in Engineering

School/Department:College of Electronic and Information Engineering (CEIE)

Discipline:Electrical Circuit and System

Business Address:Room 414, CEIE, Jiangning Campus, 29 Jiangjun Avenue
Nanjing, Jiangsu, China, 211106

E-Mail:


Current position: Home >> Research

Research Area

  • Energy Efficient and Secure Computing Integrated Circuits and Systems

    Approximate Computing

    AI Hardware Accelerators

    Hardware Security and Cryptography Engineering

    VLSI for Digital Signal Processing and Communications

    Mixed-Signal Integrated Circuits Design


Selected Publication

  • I have published 3 research books by Springer and Artech House, over 200 papers including over 90 IEEE and ACM journals (9 invited papers). Selected publications on energy efficient and secure computing integrated circuits and systems are shown below, for a complete list, please refer to ‪Weiqiang Liu‬ - ‪Google Scholar‬


    Books:

    [1] Weiqiang Liu, Jie Han, Fabrizio Lombardi, Design and Applications of Emerging Computer Systems, Springer, Nov. 2023.

    [2] Weiqiang Liu, Fabrizio Lombardi, Approximate Computing, Springer, Jun. 2022. Link: Approximate Computing | SpringerLink

    [3] Weiqiang Liu, Earl E. Swartzlander, Jr., and Maire O'Neill, Design of Semiconductor QCA Systems, Norwood, MA: Artech House, Sep. 2013. Link: ARTECH HOUSE U.K.: Design of Semiconductor QCA Systems


    Selected Journal and Conference Papers:

    1. Selected Publications on Approximate Computing and AI Accelerators

    [1] Yuqin Dou, Chenghua Wang, Roger Woods, and Weiqiang Liu, ENAP: An Efficient Number-Aware Pruning Framework for Design Space Exploration of Approximate Configurations, IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 70, no. 5, pp. 2062-2073, May 2023.

    [2] Chenggang Yan, Yuxuan Cui, Ke Chen, Bi Wu, Weiqiang Liu, Hardware Efficient Successive Cancellation Polar Decoders Using Approximate Computing, IEEE Journal of Emerging Topics in Circuits and Systems, vol. 13, no. 1, pp. 189-200, Mar. 2023.

    [3] Weiqiang Liu, Tao Xu, Jing Li, Chenghua Wang, Paolo Montuschi, and Fabrizio Lombardi, Design of Approximate Hybrid Dividers based on Array and Logarithmic Dividers, IEEE Transactions on Emerging Topics in Computing, pp. 339-350, vol. 10, no. 1, Jan.-March 2022.

    [4] Tian Yuan, Weiqiang Liu, Jie Han, and Fabrizio Lombardi, High Performance CNN Accelerators based on Hardware and Algorithm Co-Optimization, IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 68, no. 1, pp. 250-263, Jan. 2021. (Highlight Paper of IEEE TCAS-I 2021 January Issue)

    [5] Weiqiang Liu, Chongyan Gu, Gang Qu, Maire O'Neill, Paolo Montuschi and Fabrizio Lombardi, Security in Approximate Computing and Approximate Computing for Security: Challenges and Opportunities, Proceedings of the IEEE, vol. 108, no. 12, pp. 2214-2231, Dec. 2020. (Invited Paper)

    [6] Weiqiang Liu, Fabrizio Lombardi, and Michael Schulte, A Retrospective and Prospective View of Approximate Computing, Proceedings of the IEEE, vol. 108, no. 3, pp. 394-399, Mar. 2020. (Invited Paper)

    [7] Weiqiang Liu, Qicong Liao, Fei Qiao, Weijie Xia, Fabrizio Lombardi, Approximate Designs for Fast Fourier Transform (FFT) with Application to Speech Recognition, IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 66, no. 12, pp. 4727-4739, Dec. 2019.

    [8] Weiqiang Liu, Jiahua Xu, Danye Wang, Chenghua Wang, Paolo Montuschi and Fabrizio Lombardi, Design and Evaluation of Approximate Logarithmic Multipliers for Low Power Error-Tolerant Applications, IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 65, no. 9, pp. 2856-2868, Sep. 2018.

    [9] Weiqiang Liu, Liangyu Qian, Chenghua Wang, Honglan Jiang, Jie Han and Fabrizio Lombardi, Design of Approximate Radix-4 Booth Multipliers for Error-Tolerant Computing, IEEE Transactions on Computers, vol. 66, no. 8, pp. 1435-1441, Aug. 2017. (Most Popular Article of IEEE TC in July 2017)

    [10] Weiqiang Liu, Linbin Chen, Chenghua Wang, Maire O'Neill and Fabrizio Lombardi, Design and Analysis of Inexact Floating-Point Adders, IEEE Transactions on Computers, vol. 65, no. 1, pp. 308-314, Jan. 2016


    2. Selected Publications on Hardware and AI Security

    [1] Yijun Cui, Jiang Li, Yunpeng Chen, Chongyan Gu, Chenghua Wang, Maire O’Neill, and Weiqiang Liu, An Efficient Ring Oscillator PUF Using Programmable Delay Units on FPGA, ACM Transactions on Design Automation of Electronic Systems, DOI: doi.org/10.1145/3593807

    [2] Yale Wang, Chenghua Wang, Chongyan Gu, Yijun Cui, Maire ONeill,and Weiqiang Liu, A Generic Dynamic Responding Mechanism and Secure Authentication Protocol for Strong PUFs, IEEE Transactions on VLSI Systems, DOI: 10.1109/TVLSI.2022.3189953.

    [3] Mingfu Xue, Yushu Zhang, Jian Wang, and Weiqiang Liu, Intellectual Property Protection for Deep Learning Models: Taxonomy, Methods, Attacks, and Evaluations, IEEE Transactions on Artifificial Intelligence, DOI: 10.1109/TAI.2021.3133824.

    [4] Shichao Yu, Chongyan Gu, Weiqiang Liu and Maire O'Neill, A Deep Learning-based Hardware Trojan Detection System with Block-based Netlist Information Extraction, IEEE Transactions on Emerging Topics in Computing, DOI: 10.1109/TETC.2021.3116484.

    [5] Yale Wang, Chenghua Wang, Chongyan Gu, Yijun Cui, Maire O'Neill and Weiqiang Liu, A Dynamic Confifigurable PUF Design and the Dynamic Matching Authentication Protocol, IEEE Transactions on Emerging Topics in Computing, pp. 1091-1104, vol. 10, no. 2, 01 April-June 2022. 

    [6] Mingfu Xue, Can He, Jian Wang and Weiqiang Liu, One-to-N & N-to-One: Two Advanced Backdoor Attacks against Deep Learning Models, IEEE Transactions on Dependable and Secure Computing, pp. 1562-1578, vol. 19, no. 3, May-June 2022.

    [7] Chongyan Gu, Chip-Hong Chang, Weiqiang Liu, Shichao Yu, Neil Hanley, and Maire O'Neill, A Modeling Attack Resistant Deception Technique for Secure and Lightweight PUF-based Authentication, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 40, no. 6, pp. 1183-1196, Jun. 2021.

    [8] Weiqiang Liu, Lei Zhang, Zhengran Zhang, Chongyan Gu, Chenghua Wang, Maire O'Neill, and Fabrizio Lombardi, XOR-Based Low-Cost Reconfigurable PUFs for IoT Security, ACM Transactions on Embedded Computing Systems, vol. 18, no. 3, Article 25, Mar. 2019.

    [9] Chongyan Gu, Weiqiang Liu, Neil Hanley, Robert Hesselbarth, and Maire O'Neill, A Theoretical Model to Link Uniqueness and Min-Entropy for PUF Evaluations, IEEE Transactions on Computers, vol. 68, No. 2, pp. 287-293, 2019.

    [10] Mingfu Xue, Rongzhen Bian, Jian Wang, and Weiqiang Liu, Building an Accurate Hardware Trojan Detection Technique from Inaccurate Simulation Models and Unlabeled ICs, IET Computers & Digital Techniques, vol. 13, no. 4, pp. 348-359, 2019. (Annual Editor's Choice Award)


    3. Selected Publications on Cryptography Engineering

    [1] Ziying Ni, Ayesha Khalid, Dur-e-Shahwar Kundi, Maire O’Neill, and Weiqiang Liu, HPKA: a High-Performance CRYSTALS-Kyber Accelerator Exploring Efficient Pipelining, IEEE Transactions on Computers. DOI: 10.1109/TC.2023.3296899

    [2] Ziying Ni, Dur-e-Shahwar Kundi, Maire O’Neill, and Weiqiang Liu, A High Performance SIKE Accelerator with High Frequency and Low Area-Time Product, IEEE Transactions on Circuits and Systems II: Express Briefs, DOI: 10.1109/TCSII.2022.3181625..

    [3] Dur-e-Shahwar Kundi, Yuqing Zhang, Chenghua Wang, Ayesha Khalid, Maire O’Neill, and Weiqiang Liu, Ultra High-Speed Polynomial Multiplications for Lattice-based Cryptography on FPGAs, IEEE Transactions on Emerging Topics in Computing, DOI: 10.1109/TETC.2022.3144101.

    [4] Ziying Ni, Dur-e-Shahwar Kundi, Maire O'Neill, and Weiqiang Liu, A High-performance SIKE Hardware Accelerator, IEEE Transactions on VLSI Systems, pp. 803-815, vol. 30, no. 6, June 2022.

    [5] Dur-E-Shahwar Kundi, Ayesha Khalid, Song Bian, Chenghua Wang, Maire O'Neill, Weiqiang Liu, AxRLWE: A Multi-level Approximate Ring-LWE Co-Processor for Lightweight IoT Applications, IEEE Internet-of-Things Journal, pp. 10492-10501, vol. 9, np. 13, 01 July 2022.

    [6] Dur-e-Shahwar Kundi, Ayesha Khalid, Chenghua Wang, Arshad Aziz, Maire O'Neill and Weiqiang Liu, Resource-Shared Crypto-Coprocessor of AES Enc/Dec With SHA-3, IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 67, no. 12, pp. 4869-4882, Dec. 2020.

    [7] Yuqing Zhang, Chenghua Wang, Dur-E-Shahwar Hundi, Ayesha Khalid, Maire O'Neill, and Weiqiang Liu, An Efficient and Parallel R-LWE Cryptoprocessor, IEEE Transactions on Circuits and Systems II: Brief Express, vol. 67, no. 5, pp. 886-890, May 2020. (Invited Paper from ISCAS 2020).

    [8] Weiqiang Liu, Ziying Ni, Jian Ni, Ciara Rafferty, and Maire O'Neill, High Performance Modular Multiplication for SIDH, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 39, no. 10, pp. 3118-3122, Oct. 2020. 

    [9] Weiqiang Liu, Sailong Fan, Ayesha Khalid, Ciara Rafferty, and Maire O'Neill, Optimised Schoolbook Polynomial Multiplication for Compact Lattice-based Cryptography on FPGAs, IEEE Transactions on Very Large Scale Integrated (VLSI) Systems, vol. 27, no. 10, pp. 2459-2463, 2019.

    [10] Weiqiang Liu, Jian Ni, Zhe Liu, Chunyang Liu, and Maire O'Neill, Optimized Modular Multiplication for Supersingular Isogeny Diffie-Hellman, IEEE Transactions on Computers, vol. 68, no. 8, pp. 1249-1255, Aug. 2019.


    4. Selected Publications on Nanotechnology based Design

    [1] Bi Wu, Haonan Zhu, Ke Chen, Chenggang Yan, Weiqiang Liu, MLiM: High-performance Magnetic Logic-in-memory Scheme with Unipolar Switching SOT-MRAM,IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 70, no. 6, pp. 2412-2424, June 2023.

    [2] Zhufei Chu, Chuanhe Shang, Tingting Zhang, Yinshui Xia, Lunyao Wang, and Weiqiang Liu, Efficient Design of Majority Logic based Approximate Arithmetic Circuits, IEEE Transactions on VLSI Systems, vol. 30, no. 12, pp. 1827-1839, Dec. 2022.

    [3] Weiqiang Liu, Tingting Zhang, Emma McLarnon, Maire O'Neill, Paolo Montuschi, and Fabrizio Lombardi, Design and Analysis of Majority Logic Based Approximate Adders and Multipliers, IEEE Transactions on Emerging Topics in Computing, vol. 9, no. 3, pp. 1609-1624, 2021.

    [4] Zhufei Chu, Zeqiang Li, Yinshui Xia, Lunyao Wang and Weiqiang Liu, BCD Adder Design for Quantum-dot Cellular Automata Based on three-input XOR and Majority Gates, IEEE Transactions on Circuits and Systems II: Brief Express, vol. 68, no. 6, pp. 1942-1946, 2021.

    [5] Tingting Zhang, Vikramkumar Pudi, and Weiqiang Liu, New Majority Gate Based Parallel BCD Adder Designs for Quantum-dot Cellular Automata, IEEE Transactions on Circuits and Systems II: Express Briefs, vol. 66, no. 7, pp. 1232-1236, July 2019.

    [6] Weiqiang Liu, Liang Lu, Maire O'Neill and Earl E. Swartzlander, Jr., A First Step Towards Cost Functions for Quantum-dot Cellular Automata Designs, IEEE Transactions on Nanotechnology, vol. 13, no. 3, pp. 476-487, May 2014.

    [7] Liang Lu, Weiqiang Liu, Maire O'Neill and Earl E. Swartzlander, Jr., QCA Systolic Array Design, IEEE Transactions on Computers, vol. 62, no. 3, pp. 548-560, Mar. 2013

    [8] Weiqiang Liu, Saket Srivastava, Liang Lu, Maire O'Neill and Earl E. Swartzlander, Jr., Are QCA Cryptographic Circuits Resistant to Power Analysis Attack?, IEEE Transactions on Nanotechnology, vol. 11, no. 6, pp. 1239-1251, Nov. 2012.

    [9] Weiqiang Liu, Liang Lu, Maire O'Neill, Earl E. Swartzlander, Jr. and Roger Woods, Design of Quantum-dot Cellular Automata Circuits Using Cut-Set Retiming, IEEE Transactions on Nanotechnology, vol. 10, no. 5, pp. 1150-1160, Sep. 2011.

    [10] Weiqiang Liu, Liang Lu, Maire O'Neill, Earl E. Swartzlander, Jr., Design Rules for Quantum dot Cellular Automata, Proc. IEEE Int. Symp. Circuits and Systems (ISCAS 2011), pp. 2361-2364, Rio de Janeiro, Brazil, May 2011. (Finalist in the Best Paper Contest, Top 8) 


Tutorial and Talks

  • Tutorial:

    [] Weiqiang Liu, Chongyan Gu, Nima Taherinejad and Alberto Bosio, Approximate Computing: from Emerging Computational Paradigm to System Design and Applications, DAC Long Tutorial, Jul. 2022.

    [] Weiqiang Liu, Jie Han, Alberto Bosio and Fabrizio Lombardi, Approximate Computing: Circuits, Systems and Applications, DATE Long Tutorial, Mar. 2022.

    [] Weiqiang Liu, and Fabrizio Lombardi, Approximate Computing: from Circuits to Emerging Applications, IEEE ISCAS 2021 Half-Day Tutorial, May 2021.

    [] Jie Han, Weiqiang Liu and Alberto Bosio, Approximate and Reliable Computing for Machine Learning and Safety-Critical Systems, IEEE COINS Tutorial, Aug. 2021.


    Invited Talks:

    [] Approximate Computing for Low Power Circuits and Systems, 6th Workshop on Approximate Computing (AxC21) conjunction with ICCAD'21, Nov. 2021.

    [] Approximate Computing for Energy Efficient Circuits and Systems, Huawei Strategy and Technology Workshop (STW), Oct. 2021.

    [] Approximate Computing and Security, UKRI RISE/eFuture Worshop on Engineering Significant Difference, Jun. 2021.

    [] Approximate Computing: Circuits, Applications and Security, Hunan University with CCF Fault-Tolerant Computing Technical Committee & CCF Integrated Circuits Design Technical Group, Jun. 2021. 

    [] Approximate Computing: from Circuits to Applications, University of Kentucky, Apr. 2021.

    [] Configurable Strong PUF Designs and A Deception Protocol, Cryptographic Chip Conference (CryptoIC), Oct. 2020.

    [] CMOS and Nano-Device based Approximate Computing for AI Hardware Acceleration, 15th IEEE Nanotechnology Materials and Devices Conference (NMDC), Oct. 2020

    [] Approximate Computing and Its Application into DSP and AI Chip Design, College of Microelectronics, Hefei Institute of Technology, Sep. 2020.

    [] Energy Efficient Approximate Computing IC Design, Huawei Technologies, Aug. 2020.

    [] Approximate Computing for AI Chip Design, T-Head (Pingtouge Semiconductor), Mar. 2020.

    [] Approximate Computing for Security and Security for Approximate Computing, CCF Fault-Tolerant Computing Technical Committee, Jan. 2020.

    [] Approximate Computing: from Circuits to Systems, School of Computer Science, Electrical and Electronic Engineering, and Engineering Maths (SCEEM), University of Bristol, Nov. 2019.

    [] Hardware Security: Progress and Prospects, 3rd Workshop on Astronautical Microsystem, Sep. 2019.

    [] High Performance and Efficient Arithmetic for PQC, International Software and Hardware Design and Implementation Forum (SHDI), Sep. 2019.

    [] Configurable PUFs and Attack Resistant Protocol, 4th National Forum on Hardware Security, CCF Chinese Fault Tolerant Computing Conference (CFTC),Aug. 2019.

    [] Approximate Computing and Its Application into Energy Efficient Circuits and Systems, Energy Efficient IC Design Forum, Southeast University, Aug. 2019.

    [] Majority Logic based Approximate Computing, Invited Session on Nanotechnology based Computing, IEEE International Conference on Nanotechnology (IEEE-NANO), Jul. 2019.

    [] Physical Unclonable Functions for Dependable System Security, Keynote Speech at UK-Jiangsu Workshop on Dependable Autonomous Systems (DPAS), Apr. 2019.

    [] Design and Analysis of Approximate Computer Arithmetic, 2nd China Design Automation Symposium (ChinaDA), Jan. 2019.

    [] Reconfigurable Physical Unclonable Functions, School of Computer Science, Wuhan University, Jan. 2019

    [] Approximate Chip Design and Chip Fingerprint for Edge Computing, IC Power Tutorial, Ministry of Industry and Information Technology (MIIT), Oct. 2018.

    [] Approximate Computing: Circuit and Algorithm Co-Design, Special Session on Approximate Computing, Asian Test Symposium (ATS), Oct. 2018.

    [] The Current State and Future Development of Integrated Circuit Industry in China, Jiangsu Society of Aeronautics and Astronautics Annual Meeting, Jul. 2018.

    [] Physical Unclonable Functions for Edge Computing Security, School of Electronic and Engineering, Nanjing University, Jun. 2018.

    [] Approximate Circuits and Systems, Institute of Circuits and Systems, Tsinghua University, Nov. 2017

    [] Approximate Computing: from Circuits to Systems, National ASIC Center, Southeast University, Nov. 2017.

    [] Hardware Security and Post-Quantum Cryptography Chip, IC Power Seminar, Ministry of Industry and Information Technology (MIIT), Aug. 2017.

    [] Approximate Computing Circuits, Natural Science Foundation of Jiangsu Province Young Scholar Seminar on Information Technologies, Aug. 2016.

    [] Physical Unclonable Functions for IoT Security, National Mobile Communications Research Laboratory, Southeast University, Mar. 2016.




Research Projects

  • No content

Others

  • No content